summaryrefslogtreecommitdiff
path: root/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info
diff options
context:
space:
mode:
Diffstat (limited to 'venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info')
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/INSTALLER1
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-APACHE203
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-MIT21
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/METADATA173
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/RECORD69
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/WHEEL6
-rw-r--r--venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/top_level.txt1
7 files changed, 474 insertions, 0 deletions
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/INSTALLER b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/INSTALLER
new file mode 100644
index 0000000..a1b589e
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/INSTALLER
@@ -0,0 +1 @@
+pip
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-APACHE b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-APACHE
new file mode 100644
index 0000000..5f66d4e
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-APACHE
@@ -0,0 +1,203 @@
+Copyright (C) 2016-present the uvloop authors and contributors.
+
+ Apache License
+ Version 2.0, January 2004
+ http://www.apache.org/licenses/
+
+ TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION
+
+ 1. Definitions.
+
+ "License" shall mean the terms and conditions for use, reproduction,
+ and distribution as defined by Sections 1 through 9 of this document.
+
+ "Licensor" shall mean the copyright owner or entity authorized by
+ the copyright owner that is granting the License.
+
+ "Legal Entity" shall mean the union of the acting entity and all
+ other entities that control, are controlled by, or are under common
+ control with that entity. For the purposes of this definition,
+ "control" means (i) the power, direct or indirect, to cause the
+ direction or management of such entity, whether by contract or
+ otherwise, or (ii) ownership of fifty percent (50%) or more of the
+ outstanding shares, or (iii) beneficial ownership of such entity.
+
+ "You" (or "Your") shall mean an individual or Legal Entity
+ exercising permissions granted by this License.
+
+ "Source" form shall mean the preferred form for making modifications,
+ including but not limited to software source code, documentation
+ source, and configuration files.
+
+ "Object" form shall mean any form resulting from mechanical
+ transformation or translation of a Source form, including but
+ not limited to compiled object code, generated documentation,
+ and conversions to other media types.
+
+ "Work" shall mean the work of authorship, whether in Source or
+ Object form, made available under the License, as indicated by a
+ copyright notice that is included in or attached to the work
+ (an example is provided in the Appendix below).
+
+ "Derivative Works" shall mean any work, whether in Source or Object
+ form, that is based on (or derived from) the Work and for which the
+ editorial revisions, annotations, elaborations, or other modifications
+ represent, as a whole, an original work of authorship. For the purposes
+ of this License, Derivative Works shall not include works that remain
+ separable from, or merely link (or bind by name) to the interfaces of,
+ the Work and Derivative Works thereof.
+
+ "Contribution" shall mean any work of authorship, including
+ the original version of the Work and any modifications or additions
+ to that Work or Derivative Works thereof, that is intentionally
+ submitted to Licensor for inclusion in the Work by the copyright owner
+ or by an individual or Legal Entity authorized to submit on behalf of
+ the copyright owner. For the purposes of this definition, "submitted"
+ means any form of electronic, verbal, or written communication sent
+ to the Licensor or its representatives, including but not limited to
+ communication on electronic mailing lists, source code control systems,
+ and issue tracking systems that are managed by, or on behalf of, the
+ Licensor for the purpose of discussing and improving the Work, but
+ excluding communication that is conspicuously marked or otherwise
+ designated in writing by the copyright owner as "Not a Contribution."
+
+ "Contributor" shall mean Licensor and any individual or Legal Entity
+ on behalf of whom a Contribution has been received by Licensor and
+ subsequently incorporated within the Work.
+
+ 2. Grant of Copyright License. Subject to the terms and conditions of
+ this License, each Contributor hereby grants to You a perpetual,
+ worldwide, non-exclusive, no-charge, royalty-free, irrevocable
+ copyright license to reproduce, prepare Derivative Works of,
+ publicly display, publicly perform, sublicense, and distribute the
+ Work and such Derivative Works in Source or Object form.
+
+ 3. Grant of Patent License. Subject to the terms and conditions of
+ this License, each Contributor hereby grants to You a perpetual,
+ worldwide, non-exclusive, no-charge, royalty-free, irrevocable
+ (except as stated in this section) patent license to make, have made,
+ use, offer to sell, sell, import, and otherwise transfer the Work,
+ where such license applies only to those patent claims licensable
+ by such Contributor that are necessarily infringed by their
+ Contribution(s) alone or by combination of their Contribution(s)
+ with the Work to which such Contribution(s) was submitted. If You
+ institute patent litigation against any entity (including a
+ cross-claim or counterclaim in a lawsuit) alleging that the Work
+ or a Contribution incorporated within the Work constitutes direct
+ or contributory patent infringement, then any patent licenses
+ granted to You under this License for that Work shall terminate
+ as of the date such litigation is filed.
+
+ 4. Redistribution. You may reproduce and distribute copies of the
+ Work or Derivative Works thereof in any medium, with or without
+ modifications, and in Source or Object form, provided that You
+ meet the following conditions:
+
+ (a) You must give any other recipients of the Work or
+ Derivative Works a copy of this License; and
+
+ (b) You must cause any modified files to carry prominent notices
+ stating that You changed the files; and
+
+ (c) You must retain, in the Source form of any Derivative Works
+ that You distribute, all copyright, patent, trademark, and
+ attribution notices from the Source form of the Work,
+ excluding those notices that do not pertain to any part of
+ the Derivative Works; and
+
+ (d) If the Work includes a "NOTICE" text file as part of its
+ distribution, then any Derivative Works that You distribute must
+ include a readable copy of the attribution notices contained
+ within such NOTICE file, excluding those notices that do not
+ pertain to any part of the Derivative Works, in at least one
+ of the following places: within a NOTICE text file distributed
+ as part of the Derivative Works; within the Source form or
+ documentation, if provided along with the Derivative Works; or,
+ within a display generated by the Derivative Works, if and
+ wherever such third-party notices normally appear. The contents
+ of the NOTICE file are for informational purposes only and
+ do not modify the License. You may add Your own attribution
+ notices within Derivative Works that You distribute, alongside
+ or as an addendum to the NOTICE text from the Work, provided
+ that such additional attribution notices cannot be construed
+ as modifying the License.
+
+ You may add Your own copyright statement to Your modifications and
+ may provide additional or different license terms and conditions
+ for use, reproduction, or distribution of Your modifications, or
+ for any such Derivative Works as a whole, provided Your use,
+ reproduction, and distribution of the Work otherwise complies with
+ the conditions stated in this License.
+
+ 5. Submission of Contributions. Unless You explicitly state otherwise,
+ any Contribution intentionally submitted for inclusion in the Work
+ by You to the Licensor shall be under the terms and conditions of
+ this License, without any additional terms or conditions.
+ Notwithstanding the above, nothing herein shall supersede or modify
+ the terms of any separate license agreement you may have executed
+ with Licensor regarding such Contributions.
+
+ 6. Trademarks. This License does not grant permission to use the trade
+ names, trademarks, service marks, or product names of the Licensor,
+ except as required for reasonable and customary use in describing the
+ origin of the Work and reproducing the content of the NOTICE file.
+
+ 7. Disclaimer of Warranty. Unless required by applicable law or
+ agreed to in writing, Licensor provides the Work (and each
+ Contributor provides its Contributions) on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied, including, without limitation, any warranties or conditions
+ of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A
+ PARTICULAR PURPOSE. You are solely responsible for determining the
+ appropriateness of using or redistributing the Work and assume any
+ risks associated with Your exercise of permissions under this License.
+
+ 8. Limitation of Liability. In no event and under no legal theory,
+ whether in tort (including negligence), contract, or otherwise,
+ unless required by applicable law (such as deliberate and grossly
+ negligent acts) or agreed to in writing, shall any Contributor be
+ liable to You for damages, including any direct, indirect, special,
+ incidental, or consequential damages of any character arising as a
+ result of this License or out of the use or inability to use the
+ Work (including but not limited to damages for loss of goodwill,
+ work stoppage, computer failure or malfunction, or any and all
+ other commercial damages or losses), even if such Contributor
+ has been advised of the possibility of such damages.
+
+ 9. Accepting Warranty or Additional Liability. While redistributing
+ the Work or Derivative Works thereof, You may choose to offer,
+ and charge a fee for, acceptance of support, warranty, indemnity,
+ or other liability obligations and/or rights consistent with this
+ License. However, in accepting such obligations, You may act only
+ on Your own behalf and on Your sole responsibility, not on behalf
+ of any other Contributor, and only if You agree to indemnify,
+ defend, and hold each Contributor harmless for any liability
+ incurred by, or claims asserted against, such Contributor by reason
+ of your accepting any such warranty or additional liability.
+
+ END OF TERMS AND CONDITIONS
+
+ APPENDIX: How to apply the Apache License to your work.
+
+ To apply the Apache License to your work, attach the following
+ boilerplate notice, with the fields enclosed by brackets "[]"
+ replaced with your own identifying information. (Don't include
+ the brackets!) The text should be enclosed in the appropriate
+ comment syntax for the file format. We also recommend that a
+ file or class name and description of purpose be included on the
+ same "printed page" as the copyright notice for easier
+ identification within third-party archives.
+
+ Copyright (c) 2015-present MagicStack Inc. http://magic.io
+
+ Licensed under the Apache License, Version 2.0 (the "License");
+ you may not use this file except in compliance with the License.
+ You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ See the License for the specific language governing permissions and
+ limitations under the License.
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-MIT b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-MIT
new file mode 100644
index 0000000..40fd023
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/LICENSE-MIT
@@ -0,0 +1,21 @@
+The MIT License
+
+Copyright (C) 2016-present the uvloop authors and contributors.
+
+Permission is hereby granted, free of charge, to any person obtaining a copy
+of this software and associated documentation files (the "Software"), to deal
+in the Software without restriction, including without limitation the rights
+to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
+copies of the Software, and to permit persons to whom the Software is
+furnished to do so, subject to the following conditions:
+
+The above copyright notice and this permission notice shall be included in
+all copies or substantial portions of the Software.
+
+THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
+AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
+LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
+OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
+THE SOFTWARE.
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/METADATA b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/METADATA
new file mode 100644
index 0000000..b8b3baa
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/METADATA
@@ -0,0 +1,173 @@
+Metadata-Version: 2.1
+Name: uvloop
+Version: 0.19.0
+Summary: Fast implementation of asyncio event loop on top of libuv
+Author-email: Yury Selivanov <yury@magic.io>
+License: MIT License
+Project-URL: github, https://github.com/MagicStack/uvloop
+Keywords: asyncio,networking
+Classifier: Development Status :: 5 - Production/Stable
+Classifier: Framework :: AsyncIO
+Classifier: Intended Audience :: Developers
+Classifier: License :: OSI Approved :: Apache Software License
+Classifier: License :: OSI Approved :: MIT License
+Classifier: Operating System :: POSIX
+Classifier: Operating System :: MacOS :: MacOS X
+Classifier: Programming Language :: Python :: 3 :: Only
+Classifier: Programming Language :: Python :: 3.8
+Classifier: Programming Language :: Python :: 3.9
+Classifier: Programming Language :: Python :: 3.10
+Classifier: Programming Language :: Python :: 3.11
+Classifier: Programming Language :: Python :: 3.12
+Classifier: Programming Language :: Python :: Implementation :: CPython
+Classifier: Topic :: System :: Networking
+Requires-Python: >=3.8.0
+Description-Content-Type: text/x-rst
+License-File: LICENSE-APACHE
+License-File: LICENSE-MIT
+Provides-Extra: docs
+Requires-Dist: Sphinx ~=4.1.2 ; extra == 'docs'
+Requires-Dist: sphinxcontrib-asyncio ~=0.3.0 ; extra == 'docs'
+Requires-Dist: sphinx-rtd-theme ~=0.5.2 ; extra == 'docs'
+Provides-Extra: test
+Requires-Dist: flake8 ~=5.0 ; extra == 'test'
+Requires-Dist: psutil ; extra == 'test'
+Requires-Dist: pycodestyle ~=2.9.0 ; extra == 'test'
+Requires-Dist: pyOpenSSL ~=23.0.0 ; extra == 'test'
+Requires-Dist: mypy >=0.800 ; extra == 'test'
+Requires-Dist: Cython <0.30.0,>=0.29.36 ; extra == 'test'
+Requires-Dist: aiohttp >=3.8.1 ; (python_version < "3.12") and extra == 'test'
+Requires-Dist: aiohttp ==3.9.0b0 ; (python_version >= "3.12") and extra == 'test'
+
+.. image:: https://img.shields.io/github/actions/workflow/status/MagicStack/uvloop/tests.yml?branch=master
+ :target: https://github.com/MagicStack/uvloop/actions/workflows/tests.yml?query=branch%3Amaster
+
+.. image:: https://img.shields.io/pypi/v/uvloop.svg
+ :target: https://pypi.python.org/pypi/uvloop
+
+.. image:: https://pepy.tech/badge/uvloop
+ :target: https://pepy.tech/project/uvloop
+ :alt: PyPI - Downloads
+
+
+uvloop is a fast, drop-in replacement of the built-in asyncio
+event loop. uvloop is implemented in Cython and uses libuv
+under the hood.
+
+The project documentation can be found
+`here <http://uvloop.readthedocs.org/>`_. Please also check out the
+`wiki <https://github.com/MagicStack/uvloop/wiki>`_.
+
+
+Performance
+-----------
+
+uvloop makes asyncio 2-4x faster.
+
+.. image:: https://raw.githubusercontent.com/MagicStack/uvloop/master/performance.png
+ :target: http://magic.io/blog/uvloop-blazing-fast-python-networking/
+
+The above chart shows the performance of an echo server with different
+message sizes. The *sockets* benchmark uses ``loop.sock_recv()`` and
+``loop.sock_sendall()`` methods; the *streams* benchmark uses asyncio
+high-level streams, created by the ``asyncio.start_server()`` function;
+and the *protocol* benchmark uses ``loop.create_server()`` with a simple
+echo protocol. Read more about uvloop in a
+`blog post <http://magic.io/blog/uvloop-blazing-fast-python-networking/>`_
+about it.
+
+
+Installation
+------------
+
+uvloop requires Python 3.8 or greater and is available on PyPI.
+Use pip to install it::
+
+ $ pip install uvloop
+
+Note that it is highly recommended to **upgrade pip before** installing
+uvloop with::
+
+ $ pip install -U pip
+
+
+Using uvloop
+------------
+
+As of uvloop 0.18, the preferred way of using it is via the
+``uvloop.run()`` helper function:
+
+
+.. code:: python
+
+ import uvloop
+
+ async def main():
+ # Main entry-point.
+ ...
+
+ uvloop.run(main())
+
+``uvloop.run()`` works by simply configuring ``asyncio.run()``
+to use uvloop, passing all of the arguments to it, such as ``debug``,
+e.g. ``uvloop.run(main(), debug=True)``.
+
+With Python 3.11 and earlier the following alternative
+snippet can be used:
+
+.. code:: python
+
+ import asyncio
+ import sys
+
+ import uvloop
+
+ async def main():
+ # Main entry-point.
+ ...
+
+ if sys.version_info >= (3, 11):
+ with asyncio.Runner(loop_factory=uvloop.new_event_loop) as runner:
+ runner.run(main())
+ else:
+ uvloop.install()
+ asyncio.run(main())
+
+
+Building From Source
+--------------------
+
+To build uvloop, you'll need Python 3.8 or greater:
+
+1. Clone the repository:
+
+ .. code::
+
+ $ git clone --recursive git@github.com:MagicStack/uvloop.git
+ $ cd uvloop
+
+2. Create a virtual environment and activate it:
+
+ .. code::
+
+ $ python3.7 -m venv uvloop-dev
+ $ source uvloop-dev/bin/activate
+
+3. Install development dependencies:
+
+ .. code::
+
+ $ pip install -e .[dev]
+
+4. Build and run tests:
+
+ .. code::
+
+ $ make
+ $ make test
+
+
+License
+-------
+
+uvloop is dual-licensed under MIT and Apache 2.0 licenses.
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/RECORD b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/RECORD
new file mode 100644
index 0000000..3dbf233
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/RECORD
@@ -0,0 +1,69 @@
+uvloop-0.19.0.dist-info/INSTALLER,sha256=zuuue4knoyJ-UwPPXg8fezS7VCrXJQrAP7zeNuwvFQg,4
+uvloop-0.19.0.dist-info/LICENSE-APACHE,sha256=N3AlKHeY-dzYGeH4JvpfxeLzglKGkasFKMXPjIwoLCc,11439
+uvloop-0.19.0.dist-info/LICENSE-MIT,sha256=bdTDmfJt4EPXeirX4x20y1vwjqg2iwpC1uFYY1zIq2I,1105
+uvloop-0.19.0.dist-info/METADATA,sha256=abqXJ_YvZodaxzfifUVh-pHgg8I5mymYAod6WNMNBII,4927
+uvloop-0.19.0.dist-info/RECORD,,
+uvloop-0.19.0.dist-info/WHEEL,sha256=48wUIcZcdQ2pWN7qt0HP02Cvv6HIQZGsSgx3PsepNj8,152
+uvloop-0.19.0.dist-info/top_level.txt,sha256=2cDaltyemYfQErB19s2jFmumeJRnbsZPJ7Lj9A78c6Y,7
+uvloop/__init__.py,sha256=CuY_C2LjdsJTwxAgU0tqRAU6Bb-XC0F5EUjJc70OZFc,5228
+uvloop/__pycache__/__init__.cpython-311.pyc,,
+uvloop/__pycache__/_noop.cpython-311.pyc,,
+uvloop/__pycache__/_testbase.cpython-311.pyc,,
+uvloop/__pycache__/_version.cpython-311.pyc,,
+uvloop/_noop.py,sha256=SDAJTiWhE7g3KyttbjPdliv-Uheuas-tKX4_y_nvO_Q,86
+uvloop/_testbase.py,sha256=5DQnCVi-GI1URAA_JTS6AThhFjL3WrFDg251YFtNuDQ,15455
+uvloop/_version.py,sha256=XjL2od2jzgqiBrMq-09bsxHAvt5BGnnwZQGU9yps7rc,576
+uvloop/cbhandles.pxd,sha256=gW0spS84wbfuEHuYEbRSsHiKRmb5pfDHkYZvxhTC-Vo,752
+uvloop/cbhandles.pyx,sha256=PTQjEEN4yGloNP6lIHddNzDOFqowvGm_CvS9M6yHvc4,12298
+uvloop/dns.pyx,sha256=IIcVkZYDpk96ByHLg33vhQeDsaIaLo8A99Po_A5Hx9k,14079
+uvloop/errors.pyx,sha256=2etYn89Th3tIsNMLl33Quc-1WkKKY7umPOVvilTzi9k,2774
+uvloop/handles/async_.pxd,sha256=xtsWSi0A67joJU4iFp5JWzQxwNj4LCq_KMDyDDMxdec,252
+uvloop/handles/async_.pyx,sha256=Hd_Bgi8I9uJZ20_2qUsHYYQtwq4LKtjTr3THQYKp-Sk,1516
+uvloop/handles/basetransport.pxd,sha256=SiDD77NPthTfjXVg12gJJGM1YYKZXw4AEK9tv22jJeE,1322
+uvloop/handles/basetransport.pyx,sha256=GtN3vdp6DDkh1g0RRPemj0r4x-Exskw-m16p_vY_E9g,9553
+uvloop/handles/check.pxd,sha256=IufFrzdMhLRc5zAjh7Lb0lAqw-UclrYVo-UgqIs6eJ0,276
+uvloop/handles/check.pyx,sha256=70d5oylnFnZjEJo_HBg5JYw2hE3PvkU3rhzALDEUOK8,1881
+uvloop/handles/fsevent.pxd,sha256=YfklQ9TeikRV2QRLNPAtkEwu_3vwrsOq9cMJxFV8VgI,325
+uvloop/handles/fsevent.pyx,sha256=RUV2-WhBo2OjXFn0N49l4th1DFZ0kdC-7YgsIZkUBoI,2823
+uvloop/handles/handle.pxd,sha256=QPjUCObkDwvjRAZFlolF1tNXFV9-jAf22V0KweiLdOA,1189
+uvloop/handles/handle.pyx,sha256=0vdyMjGP8lsKIJv1yk0mtOQkheMRopWc9KxT5g3MyFI,13239
+uvloop/handles/idle.pxd,sha256=L3Gr2tuzKHWEB2NnykwjbNyexNUlckBdGFKPufn5AZU,274
+uvloop/handles/idle.pyx,sha256=BXi_PQrgbPN2n3-QybHo0CLhW2m9N7benwSb4q7u87I,1859
+uvloop/handles/pipe.pxd,sha256=LzsEOwptkqNa52O1Iyqhxq2d4ppzmHr0x8cMwJIZZfk,933
+uvloop/handles/pipe.pyx,sha256=zC6nanyS9RBaBGw4rFIOwPToD__ECv55wBaJpeUowEQ,6970
+uvloop/handles/poll.pxd,sha256=A6oaU1uBMbLsgQ1sAk5VgzeFIiOr_qaGlEq41Jo2Jf8,566
+uvloop/handles/poll.pyx,sha256=5NuyrTBwnxESLdzY2JxdHsKWCnsNZOwOWZxJc7rJcyg,6502
+uvloop/handles/process.pxd,sha256=FKCuQWWzDL8r0N1phlwPJ_pGGY3TZsOl5rBQP4AlgYo,2314
+uvloop/handles/process.pyx,sha256=x89gE5JCApGshWqln-2qxYI_I262r5udmLCnBAyW--w,26919
+uvloop/handles/stream.pxd,sha256=1BASyhG8z9HDf4ZikWPqd-hldQgGSdHl3ta-nNEnChE,1535
+uvloop/handles/stream.pyx,sha256=innGpG__SnxlgHgEjxNYMELK-XDT7O0FR3aVXVqfN0w,31779
+uvloop/handles/streamserver.pxd,sha256=hIDDhB2RK0lnMUscDWcGl2NRkclb6AYfche77YEdaes,786
+uvloop/handles/streamserver.pyx,sha256=quWwKo_rz4Jzq-YNLZQ7lmcBNLSzQBpf31nS64jhbrU,4632
+uvloop/handles/tcp.pxd,sha256=xNYy-df1tK5ywK3V7a0wWno9tAA7JH-FiIQ5F0296ZM,892
+uvloop/handles/tcp.pyx,sha256=22isLLJ9__U7Bx2ZQwWP3Mozt0DZ66aOLREW7adKGLs,7291
+uvloop/handles/timer.pxd,sha256=VcLZBfzd9ixuxmJrE9O3YmyVO4LfMDwcG7UNpJbTu40,440
+uvloop/handles/timer.pyx,sha256=zT35AW9Wv9H_zWa6sw7GOi4SB7HavGUobFezTFfSq6E,2416
+uvloop/handles/udp.pxd,sha256=gQn9FH4rAiXDR_kZNqaYcNMGMzFL-T1V1G8JI6JOHU8,671
+uvloop/handles/udp.pyx,sha256=czGhVymw6FUVLY3fln1gb7oOKkJuUhBEB95jMJtO8kY,11972
+uvloop/includes/__init__.py,sha256=-OUZ6zr6Opdw78PKsHYi1AuP74Ep7XByxyoRYOuRtgI,361
+uvloop/includes/__pycache__/__init__.cpython-311.pyc,,
+uvloop/includes/consts.pxi,sha256=8REOdrR0a0DiJIWlQI9C2Jwxz2EN-iK7mopcRYMdVW0,687
+uvloop/includes/debug.pxd,sha256=cCnlyp6HkhQgVF7lAQPA31wIa1n1pn6eUY_wARYh3uA,64
+uvloop/includes/flowcontrol.pxd,sha256=7PuZtEgp4TS1Y3iNqZZInkDKI5iCylERrcLqe2ls3EY,458
+uvloop/includes/python.pxd,sha256=mIqSAV0E-UsVIHdVa175agpXr3mrvAYlku-JnwOGozI,846
+uvloop/includes/stdlib.pxi,sha256=SFJRb3qLts6QOBWfeYubYxl5YAWJ9QbMzr8KteY38QI,6334
+uvloop/includes/system.pxd,sha256=pbXOeZeXaDZ0b3CIFOgObE5C-cr6vhi6io-F8wLIaNQ,2186
+uvloop/includes/uv.pxd,sha256=GuoH7KCkWoBXXbra4OUjY5HaluU3b0hxMAhy9Sdr4Oo,16031
+uvloop/loop.cpython-311-x86_64-linux-gnu.so,sha256=okYIGscEzno-X5gbID4AxIV09YKX0Jd70h0-rqmi8VM,11727344
+uvloop/loop.pxd,sha256=7CbUNBP-7Z_EU2j0K-QTnmHrLQfhb8x-tPnDvu57PbE,6190
+uvloop/loop.pyi,sha256=xLLboc-tuzlu68RcUhghA-jjSy-mMNixiVDNY6TZueU,10504
+uvloop/loop.pyx,sha256=X0Zr1wG0rc8VlPX0_C0JzpniHZXuJs4-eCT_JGGp6Xg,117684
+uvloop/lru.pyx,sha256=nBZ4zuy4XjsdLorq-JhNS7WObcLpZWMr1OjyRvv8FaI,2279
+uvloop/pseudosock.pyx,sha256=M3H7qMGFXE9ZZLvYwOgBl3ZcNA5OKSnZ7NUGLJA7AlA,5383
+uvloop/py.typed,sha256=47DEQpj8HBSa-_TImW-5JCeuQeRkm5NMpJWZG3hSuFU,0
+uvloop/request.pxd,sha256=7yx8JlG0Hu2cv_i2QCZ_WdLlsGjI0z5eM_ueOOOgK6w,143
+uvloop/request.pyx,sha256=6-8Dme6LoT88B5-MzvmpuLn3hGt1eZlekvQxG0x2y8s,2259
+uvloop/server.pxd,sha256=_zRDiZMjsmlxJRo0KDzSM0xyfg2k-TzlGln54wvXC-Y,394
+uvloop/server.pyx,sha256=6wC5vUhAHnnUs7qHOJXvRkgov38IeY8xp6w45-rCRFc,3623
+uvloop/sslproto.pxd,sha256=fCM5XWu5ZSTDpf5_-wF2jvj77Y403yk40QOiWc0wo1s,3534
+uvloop/sslproto.pyx,sha256=EL1fckxojYK42OCAIJ-geUoKc0uncPH1hXg50roBQ-0,35381
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/WHEEL b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/WHEEL
new file mode 100644
index 0000000..6919a7b
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/WHEEL
@@ -0,0 +1,6 @@
+Wheel-Version: 1.0
+Generator: bdist_wheel (0.41.2)
+Root-Is-Purelib: false
+Tag: cp311-cp311-manylinux_2_17_x86_64
+Tag: cp311-cp311-manylinux2014_x86_64
+
diff --git a/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/top_level.txt b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/top_level.txt
new file mode 100644
index 0000000..99d4716
--- /dev/null
+++ b/venv/lib/python3.11/site-packages/uvloop-0.19.0.dist-info/top_level.txt
@@ -0,0 +1 @@
+uvloop